配置Windows+vscode+chisel环境

本文将会介绍在Windows系统上,如何从零开始配置Chisel环境。Chisel是一个使用Scala构建的代码框架,它用于高层次硬件设计语言的硬件构建。本文主要介绍了在配置Chisel环境时的一些问题和解决方法。

名词解释

Chisel是使用Scala构建的代码框架.
Chisel-Template是Chisel项目的构建模板.
Scala是基于JAVA的将面向对象和函数式编程相结合的语言.
sbt和bloop都是Scala的构建系统,用于Chisel项目的测试和构建.

mill也是一个Scala的非常知名的构建系统,它比sbt更加轻量,更容易配置.

Scala Metals是vscode的一个插件,它用来作为Scala的一个LSP.

Language Server Protocol (LSP),语言服务端协议,是由微软提出,并与Redhat、Codenvy、Sourcegraph等公司联合推出的开源协议 (使用Creative Commons Attribution以及MIT License),用于智能语言服务程序向编辑器等工具提供诸如自动补全 (auto complete)、跳转到定义 (go to definition)等功能的场景。

构建流程

JAVA -> Scala -> sbt
vscode -> Scala Matels / Scala Syntax / Chisel Syntax

安装JAVA

JAVA的版本选择JAVA8,JAVA11或者JAVA17

如果有JAVA8就直接用JAVA8.我在笔记本中已经有JAVA8的前提下安装了JAVA17,导致bloop和sbt对应的JAVA版本出错.

安装Scala

Scala的版本取决于Chisel-Template中的Scala版本.

安装sbt

配置镜像源

//TODO:sbt安装国内源

安装vscode

安装Scala Syntax (official)插件

安装Chisel Syntax插件

安装Scala (Metals)插件

配置国内镜像源

设置 Metals: Custom Repositories 中添加项https://maven.aliyun.com/repository/central

克隆Chisel-Template